登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 毕业论文 > 电子信息类 > 电子科学与技术 > 正文

基于Verilog HDL的CORDIC处理器设计

 2023-04-18 09:04  

论文总字数:17493字

摘 要

基于Verilog HDL的CORDIC处理器设计是工程实践性课题,主要是让学生所学的知识能应用到实际的生活中,让他们能深刻的体会到理论与实践的差距,能对自己所学的知识有更深的理解,连系半导体加工厂的建造工艺,实现具有一定专用功用的专用芯片的设计。处理器又叫CPU,是电子设备的大脑所在,控制着设备完成复杂的操作和指令。CPU是计算机中最关键的部分,它就像人类的大脑一样,只不过它是集成电路。主要包括运算器和控制器两大部件。另外,还网络很多个寄存器和高速缓冲存储器,同时还实现它们之间联系的数据、控制及状态的总线。一台计算机有三个最重要的部分,存储器和输入输出设备。它能够读懂计算机里面的程序数据。CORDIC为坐标旋转数字计算机算法的简称,它能够用来计算sin,cos等三角函数,计算幅值和相位得到所需要的精度,利用它还可以计算一些超越函数,因此,CORDIC的计算方法的应用范围是非常广泛的。利用此算法可以大大的提高计算机的工作速度。然后用MODELSIM软件进行仿真,检查波形图。Verilog 是一种计算机语言,它能够对你设计的硬件电路进行描述,因为Verilog这种语言有很多的优点,它在描述硬件电路中不用考虑门级等细节,与工艺无关,正因为有这么多优点,所以Verilog受到很多程序员的欢迎。

关键词 : CORDIC算法;VERILOG HDL语言;三角函数;运算器;MODELSIM软件;

A CORDIC processor design based on Verilog HDL

Abstract

CORDIC processor design based on Verilog HDL is a project engineering practicality,The main purpose is to cultivate students will study the knowledge of circuit,IC knowledge learning by the circuit,IC design and IC CAD skills used in the actual chip design ,Combined with the manufacturing process of semiconductor plant ,Complete the design of the special chip has some special functions of .Processor, also called CPU is the brains of the electronic equipment, control operation of the equipment to accomplish complex and instructions.Is a very large scale integrated circuit, the operation of a computer and control core. Mainly includes Logic Unit (ALU, Arithmetic and Logic Unit) and controller (CU, the Control Unit) two parts.In addition, also includes a number of registers and cache data and realize the connection between them, control and status of the bus. It with the internal memory and input/output devices as computer three core parts.ts function is mainly to explain data in a computer instruction and dealing with computer software. Short for CORDIC to coordinate rotation digital computer algorithm, it can be used to calculate the sine and cosine trigonometric functions, such as calculation of amplitude and phase to get the required accuracy, it also can be used to calculate some transcendental function, therefore, the calculation method of CORDIC application range is very wide.Using this algorithm can greatly increase the speed of the work of a computer. The examination of the simulation, then use MODELSIM waveform figure. Verilog hardware description language is the most widely used, suitable algorithm, register level, logical level and gate level and landscape level, all levels of design and description, advantage is its technology independence. This makes engineers in the functional design, logic level validation phase can need not consider too much door and process implementation details, simply applying different constraint conditions according to the requirement of the system design.

keywords :CORDIC algorithm ;VERILOG HDL language;Trigonometric functions;Arithmetic unit ;MODELSIM software.

目 录

摘 要 Ⅰ

Abstract Ⅱ

第一章 引 言 1

1.1 Cordic处理器背景及介绍 1

1.2 Cordic算法的应用 1

1.2.1 Cordic在数字通信中的应用 1

1.2.2 Cordic在移动通信中的应用 2

1.3 国内外研究现状 2

1.4 论文组织 2

第二章CORDIC算法原理 3

2.1 理论算法 4

2.1.1 计算正弦和余弦值 4

2.1.2 极坐标到直角坐标的变换 4

2.1.3 反正切函数与向量的模 4

2.1.4 笛卡尔坐标到极坐标的变换 4

2.1.5 反正弦和反余弦函数 5

2.1.6 线性函数 5

2.2 本章小结 8

第三章CORDIC的电路设计和仿真 9

3.1Verilog HDl硬件描述语言

3.2 迭代结构的Cordic处理器 9

3.3 流水结构的Cordic处理器 9

3.4 设计方案说明 11

3.5 测试程序及说明 18

3.6 波形和电路图综合分析 19

3.7 本章小结 18

第四章 流水结构的CORDIC的版图设计 19

4.1 Model sim软件的使用过程……………………………………………………………………………

4.2 DC原理……………………………………………………………………………………………….

4.3Astro版图的生成流程图…………………………………………………………………………….

总 结……………………………………………………………………………………………………….

致 谢 20

参考文献(References) 21

附 录………………………………………………………………………………………………………...

第一章 引 言

1.1 CORDIC处理器背景及介绍

CORDIC(Coordinate Rotation Digital Computer,坐标旋转数字计算机)的算法在实际的生活中被广泛的应用,例如:应用的最广泛的领域有便携式计算机等。三角函数的CORDIC算法最初由J.Volder提出,这种算法被应用在多种领域中然后被大家所熟知。我们看的3D电影中的图片的处理就用到了CORDIC这种算法,还有就是我们的手机和计算机中都用到了这种算法。

通常情况下,如果我们要计算三角函数、反三角函数、双曲线函数和其余跨越函数的值。有我们可以采用的方法有:ROM查找表法、多项式近似法,主要利用泰勒级数近似、CORDIC法,上面的方法是我们在课本中经常学习到的,但是在实际的应用中,我们并不会考虑它们,那是因为它们并不是最简单的方法。我们会采用CORDIC算法,这种算法具有突出的优点,与其它的算法相比这种算法不需要硬件乘法器只用到了移位累加。我们可以使用流水线的设计方法,它能够以提高工作效率。当然也可以使用迭代方法,以节约硬件资源。

1.2 CORDIC算法的应用

CORDIC的算法的应用,下面做了简单的介绍。

1.2. CORDIC在数字通信中的应用

数字通信中的数字调制与解调有很多的方法可以实现。在这里我们采用CORDIC的算法来实现它们的这两个功能,看一看这种方法的实现,比其他的方法有什么好处。常用的调制方式有:幅度调制(amplitude shift keying, ASK),相移调制(phase shift keying,PSK),频移调制(frequency shift keying,FSK),还有就是正交幅度调制。以上的概念有可以用下面的公式具体表示:

剩余内容已隐藏,请支付后下载全文,论文总字数:17493字

您需要先支付 80元 才能查看全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图