登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 毕业论文 > 电子信息类 > 信息工程 > 正文

深度学习算法在FPGA上的设计及实现毕业论文

 2021-03-25 10:03  

摘 要

最近十年,人工智能技术再一次的飞速发展起来。而其中,最主要的因素就是深度学习算法的提出。深度学习具有很强的模拟预测能力,助力了人工智能的崛起,但同时也伴随着超大计算量的问题。训练深度学习的模型需要计算大量的数据,因此也需要有与之对应的极强的计算能力,仅仅依赖于计算机软件效果有限,无法解决现有数据和模型规模不断扩大的问题,这就要求我们从相关的硬件技术上寻求突破。而现今,在硬件方面,GPU、ASIC、FPGA都可以用来解决庞大计算量,值得我们去关注和开发。本文将就深度学习算法的基本理论进行探索,并研究讨论利用FPGA来实现深度学习算法的可能性。

本文主要研究的深度学习网络是VGGNet,它通过对原始图像预处理之后的数据进行一系列的卷积、激活、池化、全连接以及softmax回归来实现图像的定位和分类,是一个比较成功且完备的深度学习网络。我们采用FPGA的器件来构建VGGNet的每一个功能模块,将它的每一个具体的工作单元用硬件描述语言(VHDL)编写出来。在Quartus II 上进行编译,并用它自带的仿真器进行仿真,得出利用VGGNet处理图像数据之后所得到的结果。

研究结果表明:我们利用FPGA的工具可以实现VGGNet中对图像数据的一系列处理过程,而且处理速度快,正确率高。将FPGA的相关技术应用到常用的深度学习框架是可行的,具有广阔的应用前景,有望向应用级的方向发展。

关键词:人工智能;深度学习; VGGNet; FPGA

Abstract

In the last ten years,artificial intelligence technology has developed rapidly again. The most important factor is the propose of deep learning algorithm.Deep learning has a strong ability in analog prediction,which helps the emergence of artificial intelligence. But it is also accompanied by the problem of large computational complexity.The model of training deep learning needs to calculate a large amount of data,so it also needs to have a very strong computing power,relying solely on the limited effectiveness of computer software can’t solve the growing problem of existing data and model sizes,this requires us to seek breakthroughs in related hardware technologies.And now,in terms of hardware,GPU,ASIC,FPGA can be used to solve the huge amount of computing,it is worth our attention and development.This paper will explore the basic theory of depth learning algorithm,and discuss the possibility of using FPGA to implement the deep learning algorithm.

The deep learning network studied in this paper is VGGNet,it is based on the original image after preprocessing the data of convolution,a series of activation,pool,full connection and softmax returned to realize localization and image classification,is a relatively successful and complete deep learning network.We use FPGA devices to build each functional module of the VGGNet and write each of its specific work units in a hardware description language (VHDL).Compile it on Quartus II,and simulate it with the emulator that comes with it,and get the result of using VGGNet to process image data.

The results show that we can use FPGA tools to achieve a series of processing process of image data in VGGNet,and the processing speed is fast and the accuracy is high.It is feasible to apply FPGA related technology to the commonly used deep learning framework. It has broad application prospects and is expected to develop towards application level.

Key Words:artificial intelligence ;Deep Learning ;VGGNet ;FPGA

目录

第1章 绪论 1

1.1 课题研究背景及意义 1

1.2 国内外研究现状分析 2

1.3 本文的主要内容和结构安排 3

第2章 深度学习及卷积神经网络概述 4

2.1 人工神经网络 4

2.1.1 神经元与突触 4

2.1.2 激励函数 5

2.1.3 网络信息流向类型 6

2.2 深度学习简介 7

2.3 经典的深度学习模型—卷积神经网络 8

2.3.1 卷积神经网络的层级结构: 8

2.3.2 数据输入层 8

2.3.3 卷积计算层 9

2.3.4 激活函数层 10

2.3.5 池化层 11

2.3.6 全连接层 12

2.3.7 卷积神经网络的相关知识: 12

2.4 本章小结 13

第3章 经典的卷积神经网络模型—VGGNet 14

3.1 VGGNet的结构 14

3.2 VGGNet的相关细节 15

3.3 本章小结 16

第4章 使用FPGA实现VGGNet的功能模块 17

4.1 3*3卷积核 17

4.2 1*1卷积核 19

4.3 ReLU激励函数 20

4.4 MaxPooling 池化 21

4.5 全连接层 23

4.6 预处理过程试验 24

4.7 本章小结 28

第5章 总结与展望 29

参考文献 30

致谢 31

第1章 绪论

1.1 课题研究背景及意义

2016年3月份,Google的AI围棋程序AlphaGo击败了人类棋手李世石,打破了人们之前一直持有的“人工智能无法在围棋领域战胜人类”的观点,使得人工智能技术再一次得到了世人的关注和世界各大科技公司的重视。

人工智能主要包括三个基本组成部分:算法,计算和数据。人工智能的算法目前最有潜力和前景的是深度学习算法,深度学习作为一个强大的机器学习算法,在语音和图像识别方面已取得了不小的成果,并成为了该领域的主流。它在搜查技术,挖掘数据信息,机器智能化翻译,处理自然语言,多媒体学习,语音识别和个性化推荐,以及其它相关领域也取得了不少成果,推动着人工智能向前发展,不断地改变着我们的生活[1]。而且随着人们越来越关注于深度学习,以及各大科研机构不断努力的探索,深度学习自身也得到了飞速发展,其架构越来越完善,知识体系越来越详尽,正一步步成为时代的主流。

您需要先支付 80元 才能查看全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图