登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 毕业论文 > 电子信息类 > 电子信息科学与技术 > 正文

基于FPGA的波形回放系统

 2023-12-27 11:12  

论文总字数:11668字

摘 要

:本设计选用Altera公司研发的型号为EP2C5Q208C8芯片,使用该FPGA芯片控制AD转换器ADC0809去采集波形信号,接着将采集到的信号数据存于嵌入式的存储模块中,然后利用计数器的地址译码作用,从存储模块中提取波形数据输出到数模转换模块DAC0800中,控制DAC0800转换输出波形信号,使波形的回放功能得以实现。该系统的设计主要是利用了EDA工具软件Quartus II去实现各个模块的接口设计。

关键词:FPGA,存储,回放

Abstract:The design uses Altera"s development model is EP2C5Q208C8 chip FPGA chip to control the use of the AD converter ADC0809 to collect waveform signal,and then the collected signal data stored in the embedded memory module,and then use the address decoding counter action,extracts waveform data output from the memory module to the converter module in the DAC0800,DAC0800 converter output waveform control signal to the playback waveform is achieved.The system is designed mainly using the Quartus II EDA software tools to implement the interface design of each module.

Keywords:FPGA,storage,playback

目 录

1 绪论 4

2 FPGA及VHDL介绍 4

2.1 FPGA 4

2.2 VHDL介绍 5

3 系统方案设计 5

3.1 A/D转换模块 6

3.2 存储模块 12

3.3 D/A转换模块 18

4 顶层原理图模块的设计与仿真 20

4.1 顶层原理图模块的策划 20

4.2 顶层原理图模块的仿真 21

结 论 22

参 考 文 献 23

致 谢 24

1 绪论

随着现代科学技术的高速发展,人类在许多行业都取得了了不起的成就,如雷达、航天、卫星、医学等更多电子通信行业。这些行业基本上都有一个共同点,那就是都需要对波形数据进行必要的采集、转换、存储和尽量无失真的回放[1],以供研究人员准确无误的研究,所以电子通信行业在波形的采集[3]、存储方面的发展是非常重视的,而且此系统的应用范围也越来越广泛,不再局限于电子通信行业,在农业、工业等其它也都取得了长足的发展。波形的采集、存储系统发展到现在都有了本质性的变化,主要表现在从通常所用的波形发生器、波形显示器到现在的数码管显示液晶等。电子通信技术的发展是非常迅速的,主要表现在经久不衰的模拟信号到当今运用越来越普及的数字信号。

大家也许都已经了解,最近几年的波形采集、存储和回放的发展在要求低成本[1]、耐持久和便携的情况下大多都采用单片机去控制处理,然而它相比较于FPGA都有一定的劣势,如取用一个由单片机制作的主控制板,要想让它成为一个具有实用性的真正产品,那么就必须得经过一段漫长的时间去实际的验证,不然是不大可能做成一个真正的产品,通俗易懂的讲也就是开发周期比较长。目前对产品开发的周期要求是随着技术发展而越来越严格,产品开发商对产品的要求有:第一产品开发周期得短(不能太长,得节省成本);第二开发出来的产品得可靠灵活。故而在设计本设计装置时,基于数字存储示波器的原理,以现场可编程门阵列FPGA芯片为控制核心[2]通过AD模块对波形信号进行随时随刻的采样。

本设计的核心器件有主要的特色,那就是不仅集成度非常高、高速而且可靠性非常高。 另外也有些比较重要的优势,如开发周期非常短,投资风险相对于其它的具有类似功能的器件较小,产品上市快并且具有有一定的市场。

2 FPGA及VHDL介绍

2.1 FPGA

最近几年由于市场的大量需求,FPGA的技术正处在高速发展时期,得益于技术开发的高速发展,研究发展出来的新式芯片的集成度逐渐提升,成本也越来越低,传统的数字元件正在被开发出来的低端FPGA芯片取代,ASIC的市场份额不断被高端的FPGA所争夺。

2.1.1 FPGA的发展势头

FPGA的生产已经启用前卫的ASIC生产技艺[8],高端的FPGA芯片正在嵌入越来越丰富的处理器内核,一项系统级设计工程已经孕育而生,那就是基于FPGA的开发设计。FPGA 的集成度的不断升高多半得益于半导体制造工艺的不断提高,同时制造的成本也将随着制造工艺的提升而不断降低,FPGA作为替代ASIC 来实现电子系统的远景将日趋光明。FPGA有如此远大的光景,是由于它的发展优势:

(1)低功耗、大容量、低电压;

(2)系统级高密度;

(3)FPGA和ASIC两者之间的互补融合;

(4)系统动态重构电路逻辑的功能;

2.2 VHDL介绍

硬件描述语言HDL是EDA技巧中紧要的组成部分,经常使用的硬件描述语言有AHDL、VHDL和Verilog HDL,而VHDL和Verilog HDL是如今最受接待并已成为IEEE标准的硬件描述语言[9],故它们具备优秀的可移植性。

本次设计的每一个底层模块需要VHDL程序通过编译生成对应的元件符号以供顶层原理图设计调用。VHDL是超高速集成电路硬件描述语言的缩写,是现如今标准化程度最高的硬件描述语言IEEE,于1987年VHDL被采用为IEEE1076准则[6],之后晋级到VHDL 1993版本。经过20年的发展,VHDL以其巨大的体系描述本领、能够表率的程序设计布局、机动灵便的语言表达派头和多层次的仿真尝试技术措施,在电子策划范略域内遭到了宽泛的接受,成为方今EDA硬件描述的第一选择。当前,时髦的EDA工具全数撑持VHDL,它在EDA范畴的学术交流、电子策划的存档、专用集成电路(ASIC)设计等方面,承担着不可缺少的脚色。研究学者认为当今天下VHDL与Verilog HDL语言将担当起几近全部的数字体系策划任务。显而易见,VHDL是当今电子设计研究人员务必得会驾驭的硬件策划计算机语言[9]

3 系统方案设计

本次设计的目的是将被测量的波形信号进行数字存储,并经过通用的双踪示波器将被测量的波形信号回放出来。因为被采摘的信号是模拟波形信号,且存储的模式是数字信号存储模式,故应当将此模拟信号举行量化处置,而后存储到相对应的存储器中,当必须回放的时刻来临时,从存储器中读出数据并规复为模拟信号,并传递至通用的双踪示波器的Y输入端,在X输入端加入原始信号,观测波形图形之间的差异。故本次设计的要点是对信号采集处理的设计要点,并转换为数字信号存储,通用示波器显示的控制。

本次设计使用FPGA芯片为操作控制核心,数据经过能将波形模拟信号转换成数字信号的模数转换模块, 然后通过FPGA芯片将采集到的数据存储至系统嵌入式存储器,然后由FPGA芯片控制将数据送至数模转换模块处理之后输出到通用的双踪示波器中, 此方案性价比高,实现较为容易,信号调节电路采用普通的运算放大器即可完成。

剩余内容已隐藏,请支付后下载全文,论文总字数:11668字

您需要先支付 80元 才能查看全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图