登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 文献综述 > 电子信息类 > 电子信息工程 > 正文

基于Vivado的数字示波器的设计—数据采集文献综述

 2020-05-11 11:05  

文 献 综 述

数字示波器自上个世纪七十年代诞生以来,其应用越来越广泛,已经成为测试工程师必备的工具之一。目前新的技术应用越来越多,测试要求也越来越高,需要不断地改进数字示波器。在日常研究中,利用数字示波器能观察各种不同信号幅度随时间变化的波形曲线,还可以用它测试各种不同的电量,如频率,相位差等。随着电子技术的发展,数字示波器凭借数字技术和软件大大扩展了工作能力,早期产品的取样率低、存在较大死区时间、屏幕刷新率低等不足得到了较大改善,以前难以观察的调制信号等复合信号越来越容易观察。所以运用FPGA设计数字示波器也就更加有意义。

FPGA(Field-Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

FPGA开发需要从顶层设计、模块分层、逻辑实现、软硬件调试等多方面着手。它的开发相对于传统PC、单片机的开发有很大不同。FPGA以并行运算为主,有处理更复杂功能的能力的单片机程序是串行执行的,执行完一条才能执行下一条,在处理突发事件时只能调用有限的中断资源;而FPGA不同逻辑可以并行执行,可以同时处理不同任务,这就体现出了FPGA工作更有效率。其次,FPGA 最大的特点就是灵活,可以实现你想实现的任何数字电路,可以定制各种电路,减少受制于专用芯片的束缚,真正做到为自己的产品量身定做。在设计的过程中可以灵活的更改设计。同时FPGA有大量软核,可以方便进行二次开发。FPGA甚至包含单片机和DSP软核,并且I/O数仅受FPGA自身I/O限制,所以,单片机和DSP能实现的功能,FPGA一般都能实现。

FPGA采用了逻辑单元阵列LCA(Logic Cell Array)这样一个概念,内部包括可配置逻辑模块CLB( Configurable Logic Block)、输入输出模块IOB( Input Output Block)和内部连线(Interconnect)三个部分。 FPGA利用小型查找表(16#215;1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基本逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能。

在设计中需要用到Vivado设计套件和Basys 3开发板。Vivado设计套件,是FPGA厂商赛灵思公司2012年发布的集成设计环境。包括高度集成的设计环境和新一代从系

统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。

Vivado是专注于集成的组件#8212;#8212;为了解决集成的瓶颈问题,Vivado 设计套件采用了用于快速综合和验证C 语言算法IP 的ESL 设计,实现重用的标准算法和RTL IP 封装技术,标准IP 封装和各类系统构建模块的系统集成,模块和系统验证的仿真速度提高了3 倍,与此同时,硬件协仿真性能提升了100倍。

同时也是专注于实现的组件#8212;#8212;为了解决实现的瓶颈,Vivado工具采用层次化器件编辑器和布局规划器、速度提升了3 至15 倍,且为SystemVerilog 提供了业界最好支持的逻辑综合工具、速度提升4 倍且确定性更高的布局布线引擎,以及通过分析技术可最小化时序、线长、路由拥堵等多个变量的”成本”函数。此外,增量式流程能让工程变更通知单(ECO) 的任何修改只需对设计的一小部分进行重新实现就能快速处理,同时确保性能不受影响。最后,Vivado 工具通过利用最新共享的可扩展数据模型,能够估算设计流程各个阶段的功耗、时序和占用面积,从而达到预先分析,进而优化自动化时钟门等集成功能。

除了Vivado设计套件,还有开发板Basys3也是至关重要的。它是围绕着一个 Xilinx Artix-7 FPGA芯片 XC7A35T-1CPG236C搭建的,它提供了完整、随时可以使用的硬件平台,并且它适合于从基本逻辑器件到复杂控制器件的各种主机电路 。Basys3 板上集成了大量的 I/O 设备和 FPGA 所需的支持电路,能够构建无数的设计而不需要其他器件。上电后, Basys3 板上必须配置 FPGA,然后才能执行任何有用功能。在配置过程中, 一” Bit”文件转移到 FPGA 内存单元中实现逻辑功能和电路互连。借助赛灵思免费的 Vivado 软件可以通过VHDL, Verilog 语言,或基于原理图的源文件创建.bit 文件。

这里设计使用的是Xilinx公司新的开发板Basys 3板在芯片#8212;#8212;7系列FPGA XADC。 XADC模块包括2个12比特1 MIPS的模数转换器和相关的片上传感器,模数转换器能为系统应用提供通用目的的高精度的模拟接口。XADC模块支持不同的操作模式,如外步触发同步采样模式;可接受不同类型的模拟输入信号,如单端或差分信号;最多能接受17路外部的模拟输入信号。这系列器件创造性地在片上集成了模数转换器和相关的片上传感器(内置温度传感器和功耗传感器),这是相比赛灵思前一代产品来新增加的特性,可在系统设计中免去外置的ADC器件,有力地提高了系统的集成度。

剩余内容已隐藏,您需要先支付 10元 才能查看该篇文章全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图