登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 开题报告 > 电子信息类 > 电子信息工程 > 正文

CCD图像传感器驱动电路研究与设计开题报告

 2020-04-21 04:04  

1. 研究目的与意义(文献综述)

电荷耦合器件(ccd)是20世纪60年代末期出现的新型半导体器件,ccd传感器是一种新型光电转换器件,它能存储由光产生的信号电荷。当对它施加特定时序的脉冲时,其存储的信号电荷便可在ccd内作定向传输而实现自扫描。它主要由光敏单元、输入结构和输出结构等组成。它具有光电转换、信息存贮和延时等功能,而且集成度高、功耗小,已经在摄像、信号处理和存贮这三大领域中得到广泛的应用。

ccd图像传感器作为一种新型光电转换器现已被广泛应用于摄像、图像采集、扫描仪以及工业测量等领域。作为摄像器件,与摄像管相比,ccd图像传感器有体积小、重量轻、分辨率高、灵敏度高、动态范围宽、光敏元的几何精度高、光谱响应范围宽、工作电压低、功耗小、寿命长、抗震性和抗冲击性好、不受电磁场干扰和可靠性高等一系列优点。ccd图像传感器除了大规模应用于摄像器件外,还广泛应用于图像传感和非接触式测量领域。由此可见,ccd图像传感器的应用是极为广泛的,而且其未来的发展潜力也是无限大的。

ccd图像传感器驱动方式有两种:一种是在脉冲作用下,ccd器件输出模拟信号,经后端增益调整电路进行电压或功率放大再送给用户;另一种是在此基础上还包含将其模拟量按一定的输出格式进行数字化的部分,然后将数字信息传输给用户。通常的线阵ccd摄像机就指后者,外加机械扫描装置即可成像。所以根据不同应用领域和技术指标要求,选择不同型号的线阵ccd器件,设计方便灵活的驱动电路与之匹配是ccd应用中的关键技术之一。在ccd应用技术中,最关键的两个问题是ccd驱动时序的产生和ccd输出信号的处理。对于ccd输出信号,可以根据ccd像素频率和输出信号幅值来选择合适的片外或片内模数转换器,而由于ccd厂家众多,型号各异,其驱动时序的产生方式也多种多样,因为ccd的时序脉冲信号的关系复杂且具有周期性,在提高ccd器件的信噪比和信号处理能力及转移效率等方面它是一个重要的影响因素,目前主流的ccd时序信号控制电路通常采取可编程逻辑器件的驱动方式。只有对ccd图像传感器的驱动电路有深度的了解和研究,才能更明确的掌握ccd器件的特性和应用。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

2. 研究的基本内容与方案

ccd是以电荷作为信号,而不同于其他大多数器件是以电流或电压为信号,其基本功能是信号电荷的产生、存储、传输和检测。当光入射到ccd的光敏面时,ccd首先完成光电转换,即产生与入射光辐射量成线性关系的光电荷。ccd的工作原理是被摄物体反射光线到ccd器件上 ,ccd根据光的强弱积聚相应的电荷,产生与光电荷量成正比的弱电压信号,经过滤波、放大处理,通过驱动电路输出一个能表示敏感物体光强弱的电信号或标准的视频信号。基于上述将一维光学信息转变为电信息输出的原理,线阵ccd可以实现图像传感和尺寸测量的功能。

线阵ccd的种类很多,分类方法也很多,不同类型的线阵ccd具有不同的特点,适用于不同的应用,当然也会有不同的驱动方式。此次研究,我要了解ccd技术的应用前景和发展趋势,理解和熟悉ccd的基本原理和特性,对用于尺寸测量和其他应用领域的线阵ccd进行较深入的研究,在此我选用tcd1703c型线阵ccd,对其驱动脉冲相关参数进行分析计算,在此基础上,针对一具体应用进行驱动电路的设计,完成元器件的选型及关键技术的设计,使用veriloghdl语言对其驱动电路方案进行硬件描述,tcd1703c的驱动脉冲主要包括转移脉冲sh,复位脉冲rs,钳位脉冲cp,时钟脉冲φ1e和时钟脉冲φ2e五个部分,最后要使系统产生的脉冲时序符合的所选ccd的时序要求。

ccd驱动时序设计方法并不单一,不管是线阵还是面阵的ccd,它们的驱动时序都是具有周期性的特定电压电平信号。ccd的驱动时序产生方法主要有以下四种:直接数字电路(ic)驱动法、单片机驱动法、eprom驱动法、可编程逻辑器件驱动法。近些年来,随着可编程逻辑器件的高速发展,fpga因其高速并行处理方式,极强的编程灵活性,十分适合用来产生ccd的驱动时序。fpga是一种可以根据用户的自我需要自行改造相应逻辑功能的数字集成电路。利用fpga器件设计驱动电路,可以大大缩减电路板的制作成本,无需外部芯片,提供软件仿真功能,适合完成各种算法和组合逻辑,可靠性以及灵活性都比较高,重新修改原理图或硬件描述语言即可完善设计,同时还可以为图像信号的采集提供时钟以及其他电路的时序匹配。因此本次研究在充分了解所选线阵ccd,tcd1703c的基本原理以及驱动脉冲后,采用fpga驱动法进行线阵ccd驱动时序的信号的设计,并选用veriloghdl语言对时序发生器进行硬件描述以及使用quartus ii软件对时序进行功能仿真。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

3. 研究计划与安排

第一周:根据设计题目查阅收集相关参考文献资料,明确研究内容。

第二周~第三周:理解研究所需的相关参考文献及技术,确定技术方案,完成开题报告。

第四周~第十五周:进入设计论文阶段,完成任务书所要求的设计内容。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

4. 参考文献(12篇以上)

[1] 王庆有,ccd应用技术,天津大学出版社,2013

[2] 赵负图,现代传感器集成电路,人民邮电出版社,2011

[3] 金篆芷,现代传感技术,电子工业出版社,2012

剩余内容已隐藏,您需要先支付 10元 才能查看该篇文章全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图