登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 开题报告 > 电子信息类 > 电子信息工程 > 正文

基于Artix-7 fpga的智能交通灯控制系统设计开题报告

 2020-04-11 05:04  

1. 研究目的与意义(文献综述)

在我国,当今社会早已进入了高速发展阶段,随着城市化进程的不断深入推进,人民的物质生活水平不断提高,私家车的数量逐渐增加,随之而来的城市的交通问题越来越引起人们的关注,作为道路交通的重要组成部分,十字路口的交通灯控制系统大都采用传统的定时控制方式。这样的交通控制系统定时较为死板,经常出现无视当前交通路况和单方向交通堵塞严重的现象。面对这样的不合理局面,我们有必要寻求一种更加智能、更加高效的交通控制系统。这种智能交通控制系统能够依据道路车流量的不同情况改变控制方式或自动调节红绿灯的时间长度,减少十字路口的车辆滞留现象,缓解交通拥挤情况,提高十字路口的交通效率,从而实现十字路口交通的最优控制,达到减少环境污染、降低能源消耗的目的。就目前的现状来说,很多研究成果由于受到当时的技术局限,实际效果并不理想。

verilog hdl在造价成本和可编程性方面有着独天得厚的优越性。使用verilog hdl涉及到数字电路系统,其中主要有两种实现方法:asic和fpga。若使用了fpga器件,其后的仿真阶段只要使用fpga厂商提供的eda工具就可以方便地进行仿真。因此,对于研究基于fpga控制的智能交通灯的控制,其研究的成果不仅能够缓解由于交通拥堵带来的环境污染问题和燃油浪费问题,同样节省了人们的出行时间,缓解了城市交通压力。

早在上世纪60年代末,对于智能交通灯控制系统的研究早已在美国开始了,之后,欧洲、日本也相继加入了这一行列。经过近50年的发展,美国、欧洲、日本成为世界its研究的三大基地。目前,另外一些国家、地区也有相当规模,可以说,全球目前的its产业发展速度惊人,以“保障安全、提高效率、改善环境、节约能源”为目标的its概念正逐步在全球形成。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

2. 研究的基本内容与方案

基本内容:通过本课题的设计,在现有资料的基础上,对fpga的相关知识进行总结,学会verilog hdl语言,并设计出可以根据车流量调整时间的智能交通灯控制系统。

目标:在xilinx下通过vivado软件与atrix-7开发板实现对智能交通灯控制系统的设计,借助fpga芯片,使用verilog hdl语言灵活编程来实现交通灯控制系统的各项功能,使其不再拘泥于硬件,具有更强的移植性和实用价值。

技术方案:利用fpga的相关知识设计交通灯控制系统,可以根据实际情况对交通灯的红绿灯时间配比进行自由调整,并采用vivado仿真工具对该控制系统进行相关的时序仿真,验证所设计的交通信号灯的控制电路是否可以完全实现所预定的功能,并具有一定的实用性。对系统框图的初步设计初步拟定为如图1所示。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

3. 研究计划与安排

第1-4周:查阅文献资料,明确研究目标,完成开题报告,学习相关知识;
第5-10周:进行系统硬件功能模块研究设计、系统软件设计;
第11-13周:进行系统调试、修改完善功能;
第14-16周:撰写、修改论文并上交论文;
第17 周: 答辩。

4. 参考文献(12篇以上)

[1]方敏,卢东红,张淑红.基于fpga的单交叉路口交通信号控制设计方法研究.青岛大学学报:自然科学版,2014,27(2):54-56 .

[2]楚岩,汪海光,邵严.基于fpga的交通信号灯控制系统.现代电子技术,2012,35(9):184-186.

[3]潘松,黄继业.eda技术实用教程--vhdl版(第五版).科学出版社,2013.

剩余内容已隐藏,您需要先支付 10元 才能查看该篇文章全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图