登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 外文翻译 > 电子信息类 > 电子信息工程 > 正文

EDA的发展及VHDL的应用外文翻译资料

 2022-11-17 05:11  

EDA的发展及VHDL的应用

90s in 20 centuries, international last electronics and calculator technique more the forerunners nation, has been being actively investigating a new design method of the electronics electric circuit, and carried on an exhaustive change in the aspects of designing a method, tool wait, obtain huge success.At the design realm of the electronics technique, the application of programmable logic spare part(like CPLD, FPGA), have already got extensive universality, these spare parts brought tremendous vivid for the design of numerical system.These spare parts can pass a software plait a distance but as to its hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast.The all these biggest changed a traditional numerical method, design process of the system design and design idea and promoted the EDA technical quick development.

The EDA is an electronics design automation(the Automation of the Electronic Design) of abbreviation, design(CAD) from the calculator assistance at the beginning of 90s in 20 centuries, calculator assistance manufacturing(CAM), calculator assistance test(CAT) and calculator lend support to the concept of engineering(CAE) a development since then.The EDA technique is to take calculator as tool, design at EDA software terrace up, use the hardware description language HDL completion a design a document, then is of oneself completed logic to edit and translate, turn Chien, partitioned by the calculator, comprehensive, excellent turn, set up, cloth line with imitate really, until for particular target chip of proper go together with to edit and translate, the logic reflect to shoot with plait distance download etc. work.The EDA technical emergence, biggest raised efficiency and maneuverability of electric circuit design, eased to design of labor strength.

These spare parts can pass a software plait a distance but as to its hardware structure and work the way carry on heavy Gou and make thus the design of hardware can like software design so convenient fast.The all these biggest changed a traditional numerical method, design process of the system design and design idea and promoted the EDA technical quick development.Make use of EDA tool, the electronics designer can start design electronics system from the concept, calculate way, agreement...etc., a great deal of work can pass calculator completion, and can design the electronics product is from the electric circuit, the function analyze compute of the whole process of design an IC landscape or PCB landscape on board auto processing completion.

Use to the EDA concept or category very breadth now.Include in each realm of the machine, electronics, correspondence, aviation aerospace, chemical engineering, mineral, living creature, medical science, military...etc., all there is EDA application.The EDA technique has already extensively used in each archduke department, the Qi business unit and research teaching section currently.For example in the airplane the manufacturing the process, from design, performance test and characteristic analytical until fly emulation, may involve an EDA technique.The EDA technique that this text point mainly to the design, PCB design of the electronics electric circuit and IC design. The EDA design can is divided into system class, electric circuit class and physics to carry out class. The EDA in common use software:The EDA tool pile up one after another and get into an our country currently and have the EDA software of extensive influence to have:MultiSIM 7(the latest edition of original EWB), PSPICE, OrCAD, PCAD, Protel, Viewlogic, Mentor, Graphics, Synopsys, LSIIogic, Cadence, MicroSim, ISE, modelsim etc..These tools all have stronger function,generally can used for a few aspects, for example a lot of softwares all can carry on an electric circuit design with imitate really, together entering can also carry on PCB to automatically set up cloth line, can output various net form a document with the third square software connect.

The VHDL English full name be the HardwareDescription Language of the Integrated Circuit of the Very-High-Speed, birth in 1982.At the end of 1987, the VHDL is confirm by IEEE and American Ministry of National Defense to describe language for the standard hardware.Announced VHDL standard edition from the IEEE, IEEE-1076(call 87 versions) after, the each EDA company released own VHDL design environment one after another, or declared that the own design tool can connect with VHDL.Henceforth the VHDL designed realm to get to extensively accept in the electronics, and gradually replaced an originally not- standard hardware description language.In 1993, the IEEE carried on to revise to the VHDL, describe ability to up expand a VHDL contents from higher abstract layer and the system, announced the VHDL of new edition, namely IEEE standard of 1076-1993 editions, .(call 93 versions)Now, VHDL and Verilog are the industrial standard hardware description of the IEEEs language, again arrive support of numerous EDA companies, at electronics engineering realm, have become in general use hardware to describe language in fact.There is expert think, in the new century in, the VHDL will start to undertake a greatly part of numerical system design mission at the Verilog language. The VHDL language is a kind of deluxe language which useds for an electric circuit design.It expects to appear after the 80s of.BE at the beginning come out by American Ministry of National Defense development to provide the American solider with the credibility which uses to raise a design with cut 1 kind of development period to use the scope smaller design language.

All of VHDL Englishes write BE:The Descriptiong Language of the VHSIC(the Speed Integrated of the Very High Circuit) Hardware.Translating into Chinese is soon extremely high the description languag

剩余内容已隐藏,支付完成后下载完整资料


EDA的发展及VHDL的应用

20世纪90年代,国际上电子和计算机技术较先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用,已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、企事业单位和科研教学部门广泛使用。例如在飞机制造过程中,从设计、性能测试及特性分析直到飞行模拟,都可能涉及到EDA技术。本文所指的EDA技术,主要针对电子电路设计、PCB设计和IC设计。

EDA设计可分为系统级、电路级和物理实现级。

EDA常用软件:EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim,ISE,modelsim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以

进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。

VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。1993年,IEEE对VHDL进行了修订,从更高的抽象层次和系统描

述能力上扩展VHDL的内容,公布了新版本的VHDL,即IEEE标准的1076-1993版本,(简称93版)。现在,VHDL和Verilog作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。

VHDL语言是一种用于电路设计的高级语言。它在80年代的后期出现。最初是由美国国防部开发出来供美军用来提高设计的可靠性和缩减开发周期的一种使用范围较小的设计语言 。

VHDL的英文全写是:VHSIC(Very High Speed Integrated Circuit)Hardware Descriptiong Language.翻译成中文就是超高速集成电路硬件描述语言。因此它的应用主要是应用在数字电路的设计中。目前,它在中国的应用多数是用在FPGA/CPLD/EPLD的设计中。当然在一些实力较为雄厚的单位,它也被用来设计ASIC。

VHDL主要用于描述数字系统的结构,行为,功能和接口。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可是部分,及端口)和内部(或称不可视部分),既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点 与其他硬件描述语言相比,VHDL具有以下特点:

功能强大、设计灵活。VHDL具有功能强大的语言结构,可以用简洁明确的源代码来描述复杂的逻辑控制。它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。VHDL支持同步电路、异步电路和随机电路的设计,这是其

他硬件描述语言虽不能比拟的。VHDL还支持各种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。

支持广泛、易于修改。由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。

强大的系统硬件描述能力。VHDL具有多层次的设计描述功能,既可以描述系统级电路,又可以描述门级电路。而描述既可以采用行为描述、寄存器传输描述或结构描述,也可以采用三者混合的混合级描述。另外,VHDL支持惯性延迟和传输延迟,还可以准确地建立硬件电路模型。VHDL支持预定义的和自定义的数据类型,给硬件描述带来较大的自由度,使设计人员能够方便地创建高层次的系统模型。

独立于器件的设计、与工艺无关。设计人员用VHDL进行设计时,不需要首先考虑选择完成设计的器件,就可以集中

精力进行设计的优化。当设计描述完成后,可以用多种不同的器件结构来实现其功能。

很强的移植能力。VHDL是一种标准化的硬件描述语言,同一个设计描述可以被不同的工具所支持,使得设计描述的移植成为可能。

易于共享和复用。VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。(1)与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为系统设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和设计大规模电子系统的重要保证。(2)VHDL丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。(3)VHDL语句的行为描述能力和程序结构决定了他具有支持大规模设计的分解和已有设计的再利用功能。符合市场需求的大规模系统高效,高速的完成必须有多人甚至多个代发组共同并行工作才能实现。(4)对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述设计转变成门级网表。(5)VHDL对设计的描述具有相对独立性,设计者可以不懂硬件的结构,也不必管理最终设计实现的目标器件是什么,而进行独立的设计。

成立于1981年,今年已迈入第25个年头的Mentor,在三大电子设计自动化(EDA)厂商中是历史最悠久的,且在营业额上遥遥领先次一竞争对手4倍之多,此外,Mentor在系统设计工具的市场份额更是稳居全球第一,特别是在PCB布线方面,更是Mentor强项所在,亦位列全球第一,其营业额整整是第二名供应商的1.5倍。为协助中国客户开展“音像”等特定应用市场,“技术支持部门”是Mentor在人力投入最大的部分(过去每年几乎为3倍以上的速度在成长),以强化在Physical Design和Functional Architecture的能力;且对于库管理和工具的持续开发也是不遗余力,近5年来付运量的增长均在20%左右,营收也有15%的进展,是成长最快的EDA厂家。

剩余内容已隐藏,支付完成后下载完整资料


资料编号:[24589],资料为PDF文档或Word文档,PDF文档可免费转换为Word

您需要先支付 30元 才能查看全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图