登录

  • 登录
  • 忘记密码?点击找回

注册

  • 获取手机验证码 60
  • 注册

找回密码

  • 获取手机验证码60
  • 找回
毕业论文网 > 开题报告 > 电子信息类 > 电子信息科学与技术 > 正文

数字滤波器的FPGA设计及仿真开题报告

 2021-03-11 12:03  

1. 研究目的与意义(文献综述)

数字滤波器是数字信号处理的一个重要器件,利用它可以在形形色色的信号中提取需要的信号和抑制不需要的信号(干扰、噪声),比之模拟滤波器有着高精度、高可靠性、可程控改变特性、便于集成等优点。
在现代的许多工程技术领域中都涉及到信号,如通信系统、系统控制、生物工程学、遥感探测、地质勘探、航天航空、故障检测、自动化仪器等。能否准确地提取所需的信号对相关技术工程至关重要,一个好的滤波器可以最大化获得有用的信号而剔除所不需要的信号,提升相关器件的性能。所以有必要对数字滤波器的硬件结构、工作原理乃至其系统优化进行研究探索,得到性能更优秀的数字滤波器。
数字滤波器可分为两种:IIR滤波器(Infinite Impulse Response filter)和FIR滤波器(Finite Impulse Response filter)。IIR滤波器的主要特点是含有反馈支路,结构上是递归型的,其单位冲击响应无限长,对同样的滤波器过渡带要求,它实现阶数可以比较低,因而减少了乘法器和延时单元。它的缺点是相频特性差,从而导致非线性失真严重,而且由于存在反馈回路,系统可能是不稳定的。FIR滤波器则可以做成严格的线性相位,不存在反馈,是无条件的稳定系统。同时窗函数法等其他大多数算法都能够逼近更加任意的频率响应。因此,课题确立研究FIR滤波器及其算法优化。
在国内外的研究中,设计FIR滤波器的乘法运算方式有并行乘法、位串行乘法、分布式算法(distributed arithmetic,DA)。相对于前两种方法,DA算法既可以全并行实现,又可以全串行实现,还可以串并结合实现,可以在硬件规模和滤波器速度之间作适当折中,是现在被研究的主要方法。
数字滤波器的硬件实现主要DSP(Digital Signal Processing)处理器实现,分为可编程和固定式两种,前者灵活性好,但处理速度慢,后者工作性能很好,单功能单一,研发成本高。现在,大规模逻辑可编程器件为数字信号处理提供了一种新的实现方案:在FPGA(Field Programmable Gate Array)利用分布式算法实现滤波器的设计兼顾专用DSP处理器的实时性,又具有可编程DSP处理器的灵活性,具有体积小、速度快、功耗低、可靠性高等优点。

2. 研究的基本内容与方案

设计基本内容:
(1)确立利用fpga器件设计fir数字滤波器,测试串行,并行及串并行结合结构的滤波器性能,比较其占用资源、处理速度等数据,折中选取速度与规模最优方案,并对其进行进一步的改进,减小查表的规模。
(2)利用硬件描述语言和自顶向下的数字系统设计方法,对传统的数字系统设计方法的以硬件描述语言的数字系统设计方法以及自底向上和自顶向下的进行比较,找到优势组合,并制定利用fpga器件进行数字系统设计的流程。
(3)利用找出优势方案(利用硬件描述语言自顶向下的设计方法),设计fir数字滤波器的各个功能模块(用vhdl语言编写),并将试验结果和用matlab计算模拟的结果进行比较。
(4)对设计进行小结,作进一步分析改善。

目标:完成数字滤波器的设计,能在不失真的情况下提取所需信号,信噪比,截止频率等达到要求。

设计方法:
(1)窗函数法
窗函数法即傅里叶级数法,就是使设计的fir滤波器的频率响应h(e^jw)去逼近所要求的理想的频率响应h_d(e^jw)。根据所需过度带宽度及阻带衰减选择合适的窗函数(矩形窗函数,三角窗函数,汉宁窗函数,海明窗函数,布莱曼窗函数等),与待求滤波器的单位取样响应h_d (n)相乘,得到数字的单位取样响应h(n),并验证是否达标。
(2)频率取样法
对滤波器传输函数h_d(e^jw)进行等间距取样得到h_d(k),进行idft,得到h(n),即可得到系统函数h(z)。最后检验结果是否达标。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

3. 研究计划与安排

第1-3周:查阅相关文献资料,明确研究内容,了解研究所需数字滤波器的fpga设计与仿真。确定方案,完成开题报告。

第4-7周:确定学习vhdl,fpga,数字信号处理,数字滤波器设计等方面的书籍、论文,掌握好相关知识点。

第8-12周:进行软件模拟,编写相关程序,构建滤波器,比较几种方案结果,进行总结分析,并用matleb验证,得出相关结论。

第13-16周:完成并修改毕业论文。

剩余内容已隐藏,您需要先支付后才能查看该篇文章全部内容!

4. 参考文献(12篇以上)

[1] 姜立东等编著.vhdl语言程序设计及应用:北京邮电大学出版社,2003.6.

[2] uwe meryer-baese著,刘凌,胡永生译.第二版.数字信号处理的fpga实现[m].清华大学出版社.2006.

[3] 刘在爽.卢莹莹.高阶fir滤波器面向fpga的多种实现方法[j].中国有线电视,2008,(2):164-168.

剩余内容已隐藏,您需要先支付 10元 才能查看该篇文章全部内容!立即支付

企业微信

Copyright © 2010-2022 毕业论文网 站点地图